library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity shift_reg is
Port ( CLK : in STD_LOGIC;
RES : in STD_LOGIC;
SER_IN : in STD_LOGIC;
PAR_OUT : out STD_LOGIC_VECTOR (9 downto 0));
end shift_reg;
architecture Behavioral of shift_reg is
signal temp_reg : std_logic_vector(9 downto 0);
begin
process (CLK)
begin
if RES = '0' then
temp_reg <= (others => '0');
elsif rising_edge(CLK) then
for i in 0 to 8 loop
temp_reg(i+1) <= temp_reg(i);
end loop;
temp_reg(0) <= SER_IN;
end if;
end process;
PAR_OUT <= temp_reg;
end Behavioral;
phase shifter
Tuesday, May 20, 2008Posted by Asyraf Adlan at 11:01 PM
Subscribe to:
Post Comments (Atom)
About Me
- Asyraf Adlan
- born in kl, live in shah alam, studying in perth, loves music, family type of a person, a shy guy, very taken very not available heeee :D
Blog Archive
-
▼
2008
(129)
-
▼
May
(30)
- half man half tree
- last week of uni.
- P. Pinang haramkan Islam hadhari
- Hassan: S'gor haramkan Islam hadhari
- kawan yg penyanyang
- Lying to forget
- Political crisis in UMNO Club of Western Australia.
- interviewed by NST
- no joy for lina.
- phase shifter
- Karpal gets death threat, live bullet
- Great power comes great responsibility.
- home home
- free flight!
- While I was away...
- WHAT IF....
- Debate with a 10 yrs old Erin
- "Dinner of the year" - Mael
- Market Place
- Patience, Lisz-san
- sad but true
- hamster powered pc
- List of bands that im going to see this semester.
- tiger lily
- Dream Girl
- Restless
- Just when u thought that u're ok...
- xc3s100 keyboard encoder using vhdl.
- close enough
- LIVE LIFE TO THE FULLEST!
-
▼
May
(30)
0 comments:
Post a Comment